查看: 2505|回复: 0

PSoC集成开发环境

[复制链接]
  • TA的每日心情
    开心
    2012-12-13 12:47
  • 签到天数: 1 天

    连续签到: 1 天

    [LV.1]初来乍到

    发表于 2012-9-7 14:10:34 | 显示全部楼层 |阅读模式
    分享到:
    第三章 PSoC集成开发环境3.1 PSOC Designer的安装
    PSoC DesignerCypress公司用于PSoC芯片开发的集成开发环境。该软件支持C语言、汇编语言及二者混合编程。
    3.1.1软件安装步骤
    1):将Cypress公司的光盘放入电脑,就出现下面的安装界面:
    2):单击Install  PSoC Designer 4.3,出现下面的对话框:
    3):单击Next进入下面的对话框:
    4):单击YES为接受协议,进入选择路径对话框:
    5):单击Browse可以选择安装路径,单击Next进入下一步安装:
    6):单击Next进入安装确认对话框:
    7):单击Next开始安装:
    8):安装完毕后出现下面界面:
    9):单击Finish,重启计算机完成安装。
      安装完PSoC Designer 4.3后还需要安装PSoC Programmer,用来烧写芯片。安装时,只须在第一步时单击Install  PSoC Programmer 2.20,其他步骤和前面一致。如下:
    3.1.2 激活PSoC C语言编译器
    使用PSoC Designer 4.3前需要激活PSoC C语言编译器,按照以下步骤激活:
    1):打开PSoC Designer 4.3
    2):执行Tools>>Options;
         (3): 单击Compiler选项;
    4):输入PSoC C语言编译器的授权码;
    5):阅读授权协议,单击OK完成激活。
    3.2 PSOC IDE的使用
    3. 2. 1  PSOC IDE的结构
      PSOC IDE的结构如下图所示:
      
    PSOC Designer
    器件编辑器子系统
    应用程序编辑器子系统
    调试器子系统
    PSOC IDE的结构
    3.2.2  文件类型和扩展名
    当我们创建好自己的工程后,系统会相应的创建一个以该工程名字命名的文件夹。该文件夹包括下面三个文件夹:lib (库文件夹)obj (目标文件夹)output(编译输出文件夹)
    Lib文件夹存放系统的库文件;obj文件夹存放编译c或汇编源文件时生成的中间文件;
    Output文件夹存放调试使用的.hex文件、清单文件及其他调试信息。我们可以在应用程序编辑器子系统下的资源树中浏览文件信息,如下图所示:
    资源树
    部分文件类型对应的扩展名、存放位置和描述信息如下表所示:
    文件类型
    扩展名
    位置
    描述
    档案文件
    .a
    …/lib
    一个由ilibw.exe创建的档案文件
    汇编源文件
    .asm
    源文件夹
    可编辑的汇编语言源文件
    C语言源文件
    .c
    源文件夹
    可添加到工程的C语言
    HEX文件
    .hex
    工程输出文件夹
    Intel格式的HEX文件
    汇编语言包含文件
    .inc
    汇编包含文件夹
    可编辑的汇编语言包含文件
    列表文件
    .lis
    …/output
    包含了由编译器生成的地址信息
    Make文件
    .mp
    …/output
    定制对工程build/make的流程
    工程数据文件
    .soc
    工程目录
    双击该文件打开一个工程
    模板文件
    .tpl
    IDE安装路径
    模板用来生成文件
    3.2.3工程管理器
    PSOC IDE包括3个子系统:器件编辑器子系统、应用程序子系统、调试器子系统。
    如左图所示单击第一个即可进入器件编辑器子系统;单击第二个图标进入应用程序编辑器子系统;单击第三个图标进入调试器子系统。
    器件编辑器子系统通常包括:一个用户模块窗口、一个用户模块选择窗口、一个资源管理器窗口、两个用户模块信息窗口。如下图所示:
    应用程序编辑器子系统包括:资源树窗口、源文件编辑器窗口、用来显示编译/连接时提示信息的状态栏窗口。如下图所示:
    3.2.4 创建一个工程
    1)单击“Start new project”新建文件;
    2c:点击“Creat New Project”;
    3)填写自己的文件名称,点击“Browse”可以选择存储位置,填好后点击“下一步”并点击“是”;
    :
    4)选择编辑语言的种类,选择C语言,点击“完成”即可;
    3.3 器件编程器
    3.3器件编程器
    器件编程器主要用来:选择用户模块、放置用户模块、连接用户模块、配置管脚、跟踪资源、生成应用程序框架等。
    3.3.1 选择用户模块
    用户模块是一个预先配置的功能,在放置和配置后可以像外围部件一样工作。用户可以在器件编辑器子系统中选择用户模块。窗口左边是用户模块集合子窗口。单击用来查看具体的用户模块,双击用来选定所用的用户模块。
    3.3.2 放置用户模块
    单击工具栏的Interconnect View图标即可进入放置用户模块窗口:
    左图最后一个即为Interconnect View图标;下图为放置用户模块窗口:
    单击右键,选择“Place”即可放置已选择的用户模块如下图所示:
    3.3.3配置用户模块
    通过配置用户模块,可以使用户模块与外部引脚或其他用户模块关联起来。通过配置PSoC模块的输入输出参数来完成用户模块的连接。通过以下步骤可以完成用户模块的配置:
    1):单击放置好的用户模块就可以看到用户模块参数。单击参数内容框出现下拉箭头,选择合适的参数:如下图所示:
    2):重复以上步骤即可完成所有模块参数的配置。
    3.3.4全局资源的选择:
         全局资源决定运行的硬件环境,会影响到整个设计。要改变全局资源,单击参数内容框的下拉箭头,选择合适的参数值。如下图所示:
    3.4 连接用户模块
       配置好模块后,可以进行用户模块之间的连接。用户模块连接使得PSoC模块之间可以通讯。通过配置PSoC模块的输入输出参数来完成用户模块的互连。互连总线提供了一个外部引脚连接到其他数字用户模块的路径。连接用户模块可以在器件编辑器下的Interconnect View模式中完成。
      PSoC模拟模块和管脚之间通过模拟输入多路选择器和输出总线连接,该多路选择器和管脚直接相连,另外在模拟模块和输出管脚之间只有一级输出总线。当管脚和连线一起使用来表示它们目前的连接状态时,这些管脚将被高亮显示。连接到某管脚的连线说明了该管脚已经被使用。
       用户模块互连包括连接到周围的PSoC模块、输出总线、输入总线、内部系统时钟、参考电压、外部管脚以及模拟输出缓冲。PSoC数字模块通过全局输入总线和全局输出总线连接到外部引脚和其他的PSoC数字模块。PSoC8条全局输入总线和全局输出总线,分别对应数字0~7
      在配置输出参数以连接到全局输出总线时要注意,一个PSoC模块只能驱动全局输出总线中的一条。被某个用户模块使用的某条全局输出总线不能再作为其他用户模块的输出。
    将鼠标移动到所要连接的线,然后左键单击即可出现对话框,选择自己所要连接的输入输出总线及管脚,选中后关闭对话框即可完成连接。如下图所示:
       
    3.5 管脚互连
    用户把某个PSoC模块指定到某个管脚时,便建立了一个软件配置到硬件的物理连接。管脚互连可以在器件编辑器下的Interconnect View模式中完成。管脚的设置既可以在与管脚相关的元素里被修改,又可以在管脚处直接修改。直接设置管脚可以把管脚连接到合适的元素并同时断开与其他元素的连接。若多个连接需要连接到一个管脚,这些连接必须直接从元素那里配置到管脚。以下三个位置、都可以配置管脚:
    1
    2
    3
    3.5.1连接管脚
    管脚连接在器件编辑器下的Interconnect View模式下完成,具体步骤如下:
    1):单击Port_X_X
    2):单击Port_X_X所对应的Select栏;
    3):从出现的菜单中即可以选择所需的模式;如下图所示:
    3.5.2断口驱动模式
      断口的驱动模式同样在器件编辑器下的Interconnect View模式下完成,CY8C29466提供以下断口驱动模式: High Z High Z AnalogOpen Drain HighOpen Drain LowPull DownPull UpStrong Strong Slow。按照以下步骤可以修改PSoC器件的断口驱动模式:
    1):单击Port_X_X
    2):单击Port_X_X所对应的Drive栏;
    3):从出现的菜单中选择合适的断口驱动模式。如下图所示:
    3.5.3 断口中断模式
    断口中断模式同样在器件编辑器下的Interconnect View模式下完成。包括四种断口中断模式:ChangeFromRead DisableIntFallingEdgeRisingEdge。具体配置步骤如下:
    1):单击Port_X_X
    2):单击Port_X_X所对应的Interrupt栏;
    3):从出现的菜单中选择合适的断口中断模式。如下图所示:
    3.6 应用程序编辑器
    PSoC Designer的应用程序编辑器子系统可以帮助用户管理工程下的文件、编辑源文件、增加和删除文件等。
    3.6.1文件介绍
    生成应用文件后,便可以使用应用程序编辑器来编写程序。下面对关键的系统文件进行介绍:
    1):boot .asm  是一个关键的启动文件,定义启动顺序。器件编辑器利用boot.tpl模板来生成boot.asm文件。以下是系统启动的主要组成部分:
    A:系统上电之后代码的执行起点;
    B:中断发生后使用的中断服务表;
    C:调用系统初始化程序在复位后对器件进行快速初始化;
    D:为使用C语言创建环境;
    E:调用main或者_main开始运行可执行程序。
    2: main.asm
    包含在执行boot .asm时被引用的_main标记
    3):PSoCConfig.asm
    该文件包含了进入系统时的设置
    3.6.2添加文件
    添加一个文件按照下面的步骤完成:
    1):单击添加文件图标或者在菜单中选择File>>New ,出现田加文件对话框;
    2):在该对话框中选择文件类型栏选择文件类型;
    3):在该对话框中的文件名栏填入文件名;
    4):单击完成即可。如下图所示:
    3.6.3删除文件
    在资源树里选中要删除的文件,然后执行Project>>Remove from project 或者单击右键选择删除即可。
    3.6 调试
    编写好应用程序后,需要把程序下载到相应的硬件进行调试验证。Cypress公司提供了ICE通过USB连接到PSoC Designer,通过PSoC Designer调试器子系统上简单的几个图标,便可以进行系统的调试工作。
    左图第三个即为调试程序图标,程序编写完成后点击该图标即可以完成调试。将自己的程序中出现的错误改正之后继续Debug自己的程序直到准确无误即可以将程序下载到硬件当中。
    3.6.1调试策略
      在调试程序时,用户可以选择多种调试策略,下面简单介绍:
    1):跟踪
    执行Debug>>Trace 就可以进行跟踪。PSoC Designer 的跟踪功能使得用户可以跟踪和记录器件的活动细节,包括寄存器和数据存储器。跟踪窗口连续显示从最后一个断点开始的字符和操作。程序开始运行时跟踪缓存将被清空;若跟踪缓存已满,则其中旧的内容将被新的内容覆盖。
    2):断点
    PSoC Designer 允许用户在预先定义的地址暂停程序。当遇到断点时程序暂停在断点对应的地址,断点发生后,用户可以通过菜单继续运行程序。执行Debug >>Breakpoints即可以打开断点对话框,如下所示:
    3):查看寄存器
    在调试阶段有5个可以读写的查看窗口:CPU寄存器、寄存器页01RAMFLASH
    4):查看变量
    执行命令Debug>>Watch Variables打开变量查看窗口,如下所示:
        ASM变量查看对话框内,用户可以指定想要查看的地址、数据类型、变量的位置、数据格式。
    3.6.2烧写芯片
    当调试结束时,可以进行芯片的烧写。通过PSoC Programmer来完成芯片的烧写,烧写步骤如下:
    1):将PSoC芯片放入烧写插座;
    2):单击烧写芯片图标,从弹出的对话框中选择正确的型号和端口,确认连接好之后,点击Program即可烧写芯片。如下图所示:

    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-4-19 23:35 , Processed in 0.112758 second(s), 15 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2020, Tencent Cloud.