TA的每日心情 | 开心 2016-9-21 20:33 |
---|
签到天数: 29 天 连续签到: 1 天 [LV.4]偶尔看看III
|
从零开始学FPGA我的第八个实验(上2.0)(记录一下)
UART_TX模块testbeach 代码:- `timescale 1ns/1ns
- `define clk_period 20
- module uart_tx_tb;
- reg Clk;
- reg Rst_n;
- reg [7:0]data;
- reg [2:0]bps_set;
- reg send_en;
-
- wire TX;
- wire done;
- //例化
- uart_tx uart_tx0(
- .Clk(Clk),
- .Rst_n(Rst_n),
- .data(data),
- .bps_set(bps_set),
- .send_en(send_en),
- .TX(TX),
- .done(done)
- );
-
- initial Clk = 1;
- always # (`clk_period/2)Clk =~ Clk;//产生50MHZ的时钟
-
- initial begin
- Rst_n = 1'b0;
- data = 8'd0;
- send_en = 1'd0;
- bps_set = 3'd2;
- #(`clk_period*20 +1)//+1便于仿真的时候看波形
- Rst_n = 1'b1;
- #(`clk_period*50);
- data = 8'h2b;
- send_en =1'd1;
- #`clk_period;
- send_en =1'd0;
- @( posedge done)
- #(`clk_period*2000);
- data = 8'h99;
- send_en = 1'd1;
- # `clk_period ;
- send_en = 1'd0;
- #10000;
- $stop;
- end
- endmodule
复制代码 RTL仿真:
|
|