查看: 1095|回复: 0

[教程] Xilinx Zynq-7000 嵌入式系统设计与实现

[复制链接]
  • TA的每日心情
    开心
    前天 08:49
  • 签到天数: 1059 天

    连续签到: 1 天

    [LV.10]以坛为家III

    发表于 2020-5-27 14:25:57 | 显示全部楼层 |阅读模式
    分享到:


        分享一本书《Xilinx Zynq-7000 嵌入式系统设计与实现》。


    一、封面


    01.png


    二、目录

    本书以Xilinx公司的XC7Z020 Zynq-7000 SoC器件和Xilinx的Vivado 2015.4集成开发环境为平台,全面系统的介绍了嵌入式系统设计的完整设计流程。作者以本书为核心,构建了由公开视频教学资源、设计案例代码、教学课件、QQ交流群等学习资源,以方便广大读者与作者交流互动。

    第1章Zynq-7000 SoC设计导论
    第2章AMBA协议规范
    第3章Zynq-7000系统公共资源及特性
    第4章Zynq调试和测试子系统
    第5章Cortex-A9处理器及指令集
    第6章Cortex-A9片上存储器系统结构和功能
    第7章Zynq-7000 SoC的Vivado基本设计流程
    第8章ARM GPIO的原理和控制实现
    第9章Cortex-A9异常与中断原理及实现
    第10章Cortex-A9定时器原理及实现
    第11章Cortex-A9 DMA控制器原理及实现
    第12章Cortex-A9安全性扩展
    第13章Cortex-A9 NEON原理及实现
    第14章Cortex-A9外设模块结构及功能
    第15章Zynq-7000内的可编程逻辑资源
    第16章Zynq-7000内的互联结构
    第17章Zynq-7000 SoC内定制简单AXI-Lite IP
    第18章Zynq-7000 SoC内定制复杂AXI LITE IP
    第19章Zynq-7000 AXI HP数据传输原理及实现
    第20章Zynq-7000 ACP数据传输原理及实现
    第21章Zynq-7000软件和硬件协同调试原理及实现
    第22章Zynq-7000 SoC启动和配置原理及实现
    第23章Zynq-7000 SoC内XADC原理及实现
    第24章Linux开发环境的构建
    第25章构建Zynq-7000 SoC内Ubuntu硬件运行环境
    第26章构建Zynq-7000 SoC内Ubuntu软件运行环境
    第27章Linux环境下简单字符设备驱动程序的开发
    第28章Linux环境下包含中断机制驱动程序的开发
    第29章Linux环境下图像处理系统的构建


    三、内容

    Xilinx Zynq-7000 嵌入式系统设计与实现.part1.rar (19.9 MB, 下载次数: 33)
    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /3 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-5-20 14:29 , Processed in 0.104081 second(s), 16 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.