查看: 820|回复: 0

【紫光同创国产FPGA教程】【第二十一章】AD9767双通道三角...

[复制链接]
  • TA的每日心情
    开心
    2021-1-12 14:00
  • 签到天数: 1 天

    连续签到: 1 天

    [LV.1]初来乍到

    发表于 2021-3-4 13:24:16 | 显示全部楼层 |阅读模式
    分享到:
    1. 实验简介
    本实验练习使用AN9767模块,实验中使用的模块是采用ANALOG DEVICES公司的AD9767芯片,支持独立双通道、14位、125MSPS的数模转换。在教程中介绍了利用该模块与FPGA开发板相连输出双通道14位的三角波,然后通过示波器查看把输出的三角波的波形。
    AN9767模块实物照片如下:
    AN9767模块正面图AN9767模块背面图
    AN9767双通道DA模块的详细参数:
    • DA转换芯片:AD9767;
    • 通道数:2通道;
    • DA转换位数:14bit;
    • DA更新速率:125 MSPS;
    • 输出电压范围:-5V~+5V;
    • 模块PCB层数:4层,独立的电源层和GND层;
    • 模块接口:40针2.54mm间距排座,方向向下;
    • 工作温度:-40°~85° 模块使用芯片均满足工业级温度范围
    • 输出接口:2路BNC模拟输出接口(用BNC线可以直接连接到示波器);
    2. 实验原理
    AN9767模块实验原理见《AD9767双通道正弦波产生例程》中相关章节内容,这里不再重复。
    [color=inherit !important][backcolor=rgba(246, 246, 246, 0.88)]ALINX:【紫光同创国产FPGA教程】【第二十章】AD9767双通道正弦波产生例程​zhuanlan.zhihu.com3. 程序设计
    例程中提供了AN9767模块的DA测试程序,通过AN9767模块来实现三角波信号的输出。
    三角波测试程序是通过在FPGA中产生一个计数模块,然后把计数模块的数据输出给AN9767模块进行数模的转换,从而得到三角波的模拟信号。三角波测试程序的示意图如下:

    双通道三角波发生程序
    `timescale1ns/1ps////////////////////////////////////////////////////////////////////////////////////Two tri wave outputs -10V ~ +10V//////////////////////////////////////////////////////////////////////////////////module ad9767_test(input sys_clk,// input clock  50Mhzoutput da1_clk,//AD9767 CH1 clockoutput da1_wrt,//AD9767 CH1 enableoutput[13:0] da1_data,//AD9767 CH1 data outputoutput da2_clk,//AD9767 CH2 clockoutput da2_wrt,//AD9767 CH2 enableoutput[13:0] da2_data      //AD9767 CH2 data output);reg[15:0] trig_data;wire clk_125M;assign da1_clk=clk_125M;assign da1_wrt=clk_125M;assign da1_data=trig_data;assign da2_clk=clk_125M;assign da2_wrt=clk_125M;assign da2_data=trig_data;//DA output sin waveformalways@(negedge clk_125M)beginif(trig_data ==14'h3fff)         trig_data <=0;else        trig_data <= trig_data +1'b1;endPLL PLL_inst(// Clock in ports.clk_in1    (sys_clk        ),// IN// Clock out ports.clk_out1   (),// OUT.clk_out2   (clk_125M       ),// OUT// Status and control signals.reset      (1'b0),// IN.locked     ());endmodule
    程序中通过一个PLL IP来产生125M的DA输出时钟,同时利用计数模块产生三角波的数据,并同时输出到通道1和通道2的DA数据线上。
    4. 实验现象
    1)将AN9767模块插入开发板的 J8扩展口,注意1脚对齐,不要插错、插偏,不能带电操作。
    2)用我们提供的BNC线连接AN9767的输出到示波器 的输入如下图,然后开发板上电,下载程序就可以从示波器上观察从DA模块输出的模拟信号的波形了。

    AN9767与开发板连接图
    3)示波器上看到的三角波如下:
    4) 用户也可以通过调节AN9767模块上的可调电阻来改变2个通道输出波形的幅度。

    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /3 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-5-20 04:08 , Processed in 0.117912 second(s), 15 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.