查看: 1289|回复: 0

QUARTUS学习问题【汇总贴】

[复制链接]
  • TA的每日心情
    开心
    2023-1-4 10:25
  • 签到天数: 6 天

    连续签到: 1 天

    [LV.2]偶尔看看I

    发表于 2022-3-24 10:55:49 | 显示全部楼层 |阅读模式
    分享到:
    【问题0】请问altera的开发工具,Quartus软件在官网哪里可以下载?
    答:intel官网FPGA下载中心,Quartus所有版本都可以在此网址下载:https://www.intel.com/content/www/us/en/programmable/downloads/download-center.html


    【问题
    1】QUARTUS打开后
    就闪退,怎么办?
    答:检查QUARTUS安装路径是否包含有“中文”或者“空格”,路径是不能有中文和空格的。


    问题2 遇到下载器驱动安装正确,设备管理器能够正确识别,但是Quartus软件就是无法检测到
    答:这种情况一般试安装的驱动程序和软件不配套引起的,Quartus软件在安装的时候一般自带的有驱动程序,只需要在Quartus安装目录下搜索驱动程序进行安装就可以了。可参考上面的问题六。

    问题3】 烧录的时候,电脑就蓝屏
    答:USB BLASTER可能有问题,请更新驱动程序。请在下面链接更新驱动程序:QUARTUS下载

    【问题
    4 调用某个IP核时,在哪可以查看这个IP核生成信号的端口时序?
    答:IP核的接口时序,通常要看IP核的数据手册。IP核的数据手册,通常在IP核的生成界面里可以下载到,一般都有document的选项的,请注意查看。


    【问题
    5】总是出现这个问题 是什么原因:Error (262006): File "stp1.stp" is not a valid SignalTap II File -- ignoring
    答:stp格式文件,是在线调试工具signaltap的文件。在开发时用来调试使用的。该文件不是设计的必要文件。MDY提供的部分工程,会将此文件删除。因而QUARTUS在编译运行此工程的时候,如果该文件丢失,则会出现上面的提示,但该提示不影响工程的使用的,请放心。


    【问题
    6】如何在QUARTUS查看工程的RTL视图。
    答:工程编译后,在tools - Netlist_viewers - RTL viewer,可以看工程的RTL原理图。


    问题7】打开programmer的时候,弹出open file的窗口,是什么原因?
    答:原因就是窗口重叠了,也就是programmer打开了两个


    【问题
    8】大佬们,quartusprime的精简版ip库和标准版有区别吗,三种版本除了支持的器件好像有差,没查到其他差别。
    答:IP库是没有区分的。


    【问题
    9】要下新的19或20的prime的话,推荐下精简还是找破解的标准或专业版本?
    答:请尊重知识产权,如果没有购买的话,建议使用精简版。

    【问题10QUARTUS无法配置管脚,或者是没有找到此管脚。
    答:建议检查芯片型号选择是否正确。
    如果您使用的是MDY MP801开发板,FPGA芯片型号是:EP4CE15F23C8。
    如果您使用的是MDY MP603(点拨)开发板,FPGA芯片型号是:EP4CE6E22C8。


    【问题
    11Quartus中出现警告:15610 No output dependent on input pin "a"
    答:指程序中没有任何变量的值根据输入引脚“a”而变化,也就是说这个输入引脚可有可无

    【问题12】下载MDY的工程后,运行QUARTUS就卡死。
    答:
    1. 注意工程的路径里,不能有中文,不能有任何特殊符号.
    2. 如果试用了方法1后仍然有问题,试综合其他工程,以便区分是软件问题还是工程问题。
    a. 如果所有工程都这样,说明是软件问题,请重新安装QUARTUS,建议安装MDY推荐的版本。
    b. 如果其他工程没问题,说明此工程有问题,建议对比两个工程的异同。


        如果同学们的问题我们帖子里面没有的请第一时间联
    我们
    对新问题进行补充! 希望对的学习有所帮助内容会不断更新。需要更多学习问题的同学可以复制链接:http://www.mdy-edu.com/plus/view.php?aid=1191,也欢迎提出你的问题和解答

    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /1 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-6-1 16:28 , Processed in 0.097031 second(s), 15 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.