查看: 1890|回复: 1

msp430f149液晶1602显示

[复制链接]
  • TA的每日心情
    开心
    2016-4-2 10:59
  • 签到天数: 1 天

    连续签到: 1 天

    [LV.1]初来乍到

    发表于 2016-4-2 11:22:17 | 显示全部楼层 |阅读模式
    分享到:
    购买主题 已有 2 人购买  本主题需向作者支付 1 与非币 才能浏览
    回复

    使用道具 举报

  • TA的每日心情
    开心
    2016-4-2 10:59
  • 签到天数: 1 天

    连续签到: 1 天

    [LV.1]初来乍到

     楼主| 发表于 2016-4-2 11:22:58 | 显示全部楼层
    主函数
    #include <msp430x14x.h>

    #define uchar unsigned char
    #define uint  unsigned int
    #define ulong unsigned long
    #define CPU_F ((double)8000000)
    #define delay_us(x)  __delay_cycles((long)(CPU_F*(double)x/1000000.0))
    #define delay_ms(x)  __delay_cycles((long)(CPU_F*(double)x/1000.0))
    /*
    * main.c
    */s
    extern void scan(void);
    extern void LCD_Main(void);
    extern void LCD_Init(void);
    extern void Motor_Init(void);

    void Clock_Init()
    {
      uchar i;
      WDTCTL = WDTPW | WDTHOLD;        // Stop watchdog timer
      BCSCTL1&=~XT2OFF;                 //打开XT振荡器
      BCSCTL2|=SELM1+SELS;              //MCLK为8MHZ,SMCLK为8MHZ
      do{
        IFG1&=~OFIFG;                   //清除震荡标志
        for(i=0;i<100;i++)
           _NOP();                      //延时等待
      }
      while((IFG1&OFIFG)!=0);           //如果标志为1,则继续循环等待
      IFG1&=~OFIFG;


      TACTL=TASSEL_1+TACLR;                     //ACLK;
      _EINT();                                  //开总中断
      CCTL0=CCIE;                               //定时器使能
      CCR0=32768/200;                           //5ms定时周期
      TACTL |=MC0;                              //停止计数模式
    }

    void Buzzer()
    {
               P6DIR |= BIT0;
               P6OUT |= BIT0;
               delay_ms(5000);
               P6OUT &= ~BIT0;
    }

    void delay()
    {  int i = 10000;
            for(;i>0;i--)
                    ;
    }

    void main()
    {

        Clock_Init(); //系统时钟设置
        Buzzer();
        LCD_Init();
        Motor_Init();
       
       while(1)
       {
         LCD_Main();
       }
    }
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-4-26 14:11 , Processed in 0.133111 second(s), 19 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.